[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 10 ноября 2004 г. 23:54
В ответ на: Altera я действительно знаю плохо. отправлено V61 10 ноября 2004 г. 18:10

FIFO 32x24 в 1К50 (но это не EP1C (циклон), а ACEX) займет два блока памяти и пару десятков LE. Это если scfifo (single clock). Если dcfifo (dual clock) - то займет куда больше из-за конвейеров защиты от метастабильности. Не знаю, откуда Вы накопали такой мудреж с тремя блоками и разделением по времени. Про LPM-модули, да, естественно, во многих случаях их использование эффективнее. Так как они написаны по образу и подобию DesignWare, генеря оптимальные для данного семейства структуры. Более того, quartus где может автоматически заменяет все "+", "*" и так далее на LPM-модули в процессе синтеза. Но все таки LPM эффективны на самом деле не всегда (но редко это). Да и привык я к AHDL, однако замечаю, что если конвертну проект из AHDL в верилог и ссинтезю для xilinx, то получу худшие результаты, несмотря на то, что конвертер всего лишь меняет языковые структуры, но не суть написанного. Отсюда не вижу смысла к переходу на оный. И это отнюдь не религия... Я легко перехожу с любой платформы на любую.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru