[an error occurred while processing this directive]
Ну вот например...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Igor__K 22 октября 2004 г. 17:23
В ответ на: Ответ: А что делаете то вообще тут телепатов нет. Наверняка не написал как трактовать вектор как знаковый или беззнаковый отправлено vitus_strom 22 октября 2004 г. 17:18

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity x is

port(
outdata: out integer;
indata: in std_logic_vector(31 downto 0)
);

end x;

architecture y of probe is

begin

process (indata)
begin
outdata <= conv_integer(indata);
end process;

end y;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru