[an error occurred while processing this directive]
«Телесистемы»:
Конференция «Программируемые логические схемы и их применение»
Страницы:
Текущая
326
325
324
323
322
321
320
319
318
317
316
315
314
313
312
311
310
309
308
307
306
305
304
303
302
301
300
299
298
297
296
295
294
293
292
291
290
289
288
287
286
Архивы 1...285
Новое сообщение
Регистрация
Телеконференции
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
Вопрос к net или KA (+)
—
sed
(08.10.2004 19:27, 197 байт)
Если не сложно, поделись паролем??????????????
—
ton
(11.10.2004 10:50,
пустое
)
пароль от будки
—
net
(08.10.2004 19:57,
пустое
)
да с буквой R нормально будет
—
net
(08.10.2004 19:58,
пустое
)
Спасибо. А не проверите последний файл из первого архива (KA63_1) - у меня ругается на этот пароль?
—
sed
(09.10.2004 13:36,
пустое
)
Проверять не надо! Скачал файл заново - все открылось
—
sed
(09.10.2004 16:23,
пустое
)
Вопрос по EPM3064A+MAX+plus II 10.1
—
coc shay
(08.10.2004 18:46, 275 байт)
Ну ладно уж...
—
svf
(09.10.2004 14:32, 391 байт)
Ответ: Спасибо большое.
—
coc shay
(11.10.2004 10:07,
пустое
)
Ответ:
—
grumbler
(08.10.2004 22:40, 70 байт)
Кто богат ключиком для Xilinx ISE 6.3 (win), поделитесь плз
—
Uuftc
(08.10.2004 13:59,
пустое
)
IF sampling ADC (+)
—
ux
(08.10.2004 13:06, 392 байт)
AD9288-40, 40MHz, два канала, полоса 475 MHz, $4.50, 8 bit
—
PicoDev2
(08.10.2004 20:47,
пустое
)
Всем спасибо! В общем AD9203 подходит почти (IF до 130 МГц). AD9215 получше, но и стоит в два раза дороже.
—
ux
(08.10.2004 16:29,
пустое
)
AD9432
—
net
(08.10.2004 16:44,
пустое
)
Большинство IF sampling АЦП от AD требуют лицензирования.
—
Andy-P
(08.10.2004 15:07,
пустое
)
В смысле? И почему некоторые IF sampling а другие нет при одной и той же аналоговой полосе?
—
ux
(08.10.2004 15:11,
пустое
)
Ответ: (+)
—
Andy-P
(08.10.2004 15:36, 940 байт)
Сейчас для частот оцифровки 20-65 МГц лицензии не нужно будет. Для очень быстрых(если нет на складе) одна проблема - минимальная партия поставки(+)
—
sed
(08.10.2004 16:01, 449 байт)
Вот я как-то покупал в штатах Xilinx, который тоже просто так не продается, так с меня просто попросили подписать форму о том, что это все не будет применяться для военных целей и отослать по факсу в штаты. Мб для этих АЦП этого тоже достаточно?
—
Beaver inverter
(08.10.2004 17:18,
пустое
)
Это проблема американского законодательства. Начиная с 10 МГц или 20 МГц (точно не помню) всё надо лицензировать. Если вам и удавалось покупать, то это просто повезло. Официально нужна лицензия. Думаю, если за кардон будете свои девайсы продавать, то могут спросить лицензию. Мне и самому пару раз присылали семплами 100 МГц АЦП, а купить летом прошлого года 10 шт. AD9236 не смог (и семплы не дали).
—
Dimonira
(08.10.2004 16:42,
пустое
)
Кстати, AD9236 (12-bit ADC 80MHz) - есть такой в ЧИП и ДИПе на заказ
—
sed
(08.10.2004 17:12,
пустое
)
Тогда оформите лицензию: область применения, решаемая задача - на фирме вам подскажут, что заполнять
—
sed
(08.10.2004 17:02,
пустое
)
Ага, надо только чтобы руководство захотело предоставить все сведения для анкеты. В этом то и трабл.
—
Dimonira
(11.10.2004 09:24,
пустое
)
Так Вам
—
-=ВН=-
(08.10.2004 14:30, 345 байт)
Искать надо будет не АЦП, а тактовый генератор с супернизким фазовым шумом для такого соотношения.
—
729
(08.10.2004 14:15,
пустое
)
А не подскажите где эти самые генераторы ищут?
—
Major
(08.10.2004 16:54, 103 байт)
www.vco1.com, www.sirenza.com, www.z-comm.com etc.
—
Beaver inverter
(08.10.2004 17:13,
пустое
)
Это понятно, но неужели можно взять любой 30 Msps ADC?
—
ux
(08.10.2004 14:24,
пустое
)
Если задача обнаружения, а не hi-fi, то требования к джитеру более щадящие
—
Andy-P
(08.10.2004 15:11,
пустое
)
С нужной входной полосой - любой, но помня, что бесплатный сыр бывает только сами знаете где:)))
—
729
(08.10.2004 14:27,
пустое
)
По полосе пропускания например
—
Beaver inverter
(08.10.2004 14:10,
пустое
)
т. е. IF sampling не обязательный параметр? и полоса пропускания обратна пропорциональна времени интегрирования в устройстве выборки и хранения?
—
ux
(08.10.2004 14:19,
пустое
)
Так они у них так в параметрах и прописываются - IF sampling. Вообще-то для АЦП надо ещё знать сколько бит требуется...
—
Тээмэсник
(08.10.2004 13:48,
пустое
)
Треб 8-10 бит. Есть у них AD9433 12 бит 350 МГц 125 Msps 70$. Слишком жирно и дорого. Нужно за 30 $ максимум. И мало как то этих IF sampling, может более простым АЦП можно обойтись?
—
ux
(08.10.2004 14:11,
пустое
)
Ответ:
—
SAZH
(08.10.2004 14:52, 53 байт)
Попробуйте AD9200 цена около 10 долл,, разрядность 10 бит(+), 20МГц, 135МГц IF
—
sed
(08.10.2004 14:32, 203 байт)
Не вчитался. Просто я привык говорить именно о задержке в штуках тактов, что совпадает со счётом тактов начиная с нулевого, а не с первого, а также с тем, на сколько тактов надо задержать, например, окно ввода. Т.е. для меня момент отсчёта входного сигнала - это 0 времени, а не 1.
—
ReAl
(08.10.2004 20:14,
пустое
)
По-моему, для AD9200 $10 это слишком много. 8 ещё куда ни шло при штучной покупке. Кстати, задержка у него 3 такта. Впрочем, это не принципиально.
—
ReAl
(08.10.2004 14:40,
пустое
)
Я написал - "результат появляется на выходе на 4 такте" - разве это не одно и тоже , что задержка 3 такта?
—
sed
(08.10.2004 15:00,
пустое
)
Active-HDL. Пишу счетчик на VHDLе Reset подключен к земле, при симулировании выходы счеичика в неопределенном состоянии.
—
Старина Хэнк
(08.10.2004 12:04, 54 байт)
signal CNT : std_logic_vector(n-1 downto 0) := (others => '0');
—
V61
(08.10.2004 13:13,
пустое
)
Подскажите пожалуйста литературу или документацию по разработке QPSK модулятора для DVB-S. Всё сделал как в стандарте и 20 перепроверил ничего не выходит.
—
dimon
(08.10.2004 11:42,
пустое
)
Подробнее: что не получается, какая дока есть и какой не хватает?
—
Сидоргек
(08.10.2004 11:52,
пустое
)
Есть стандарт и пару книг по ЦОС. А конкретно не получается простой эксперимент(+)
—
dimon
(08.10.2004 12:04, 366 байт)
Ответ:
—
Сидоргек
(08.10.2004 13:22, 190 байт)
Заголовки есть. Транспортный поток включает пакеты с данными и ...(+)
—
dimon
(08.10.2004 14:38, 386 байт)
Я делал (и сделал) QAM.
—
Потенциальный работодатель
(08.10.2004 17:57,
пустое
)
Прикручивал ли кто-нибудь к PCI Megacore Aальтеры UART ?
—
Dmitrich
(08.10.2004 11:42, 67 байт)
Я прикручивал мегафункцию a16450p
—
must_alive
(08.10.2004 13:09, 100 байт)
Ответ: А где ее взяли ?
—
Dmitrich
(08.10.2004 13:11,
пустое
)
На альтеровском CDROM, потом расшифровал ее. Могу поделиться.
—
must_alive
(08.10.2004 13:39,
пустое
)
Ответ:A ACEX поддерживается этой мегафункцией, вроде только под Starix, Apex и Flex ?
—
Dmitrich
(08.10.2004 14:21,
пустое
)
Хм, а кто мешает тебе перекомпилить под ACEX ? Или я чего-то не пойму ?
—
must_alive
(08.10.2004 15:10,
пустое
)
Ответ: Я понял, стормозил.А примеров подключения этой мегафункции нет ли случайно ?
—
Dmitrich
(08.10.2004 19:01,
пустое
)
Наверное, опять Вы стормозили. Найдите даташит на 16450, например, National, и посмотрите.
—
must_alive
(11.10.2004 14:37,
пустое
)
Ответ: Какую наибольшую скорость удалось получить ?
—
Dmitrich
(11.10.2004 16:57,
пустое
)
115200 - выше мне было не надо.
—
must_alive
(12.10.2004 10:59,
пустое
)
Ответ: А как реализуется на PCI интерфейс работы с мегафункцией UARTA , где то примеры или подобное посмотреть можно ?
—
Dmitrich
(13.10.2004 20:56,
пустое
)
Где взять более новые lib библиотеки по компонентам разных производителей, чем те, что в файле pcad2002trialwithlibs_sp1.exe с PCAD.COM ?
—
Survivor
(07.10.2004 19:56, 41 байт)
Сделай
—
сам!
(08.10.2004 11:23,
пустое
)
Опыта пока нет...
—
Survivor
(08.10.2004 11:36,
пустое
)
Да там час поразбираться, а потом по 5-10 минут на несложный компонент при наличии паттернов. Ну или еще +10 минут если паттерн рисовать.
—
SM
(08.10.2004 13:09,
пустое
)
Действительно, будет проще взять любой библиотечный элемент из PCAD2002 библиотеки в таком же корпусе и самому его отредактировать?
—
Survivor
(08.10.2004 13:26,
пустое
)
Нет (+)
—
SM
(08.10.2004 13:34, 162 байт)
Действительно, будет проще взять любой библиотечный элемент из PCAD2002 библиотеки в таком же корпусе и самому его отредактировать?
—
Survivor
(08.10.2004 13:26,
пустое
)
Думаю, что ACCEL намеренно не обновляет б-ки PCAD, толкая людей к PROTELу, к которому он делает шикарные libы, чего-там только нет...
—
AT
(07.10.2004 20:49,
пустое
)
Похоже на то... Но слава богу (+)
—
SM
(07.10.2004 22:08, 137 байт)
Чем он хуже , чем PCAD?
—
Survivor
(07.10.2004 22:20,
пустое
)
Просто личное мнение (+)
—
SM
(07.10.2004 22:28, 288 байт)
По мне Протел очень удобен (правда я сижу пока на 99SE). А связка со Спектрой тоже возможна.
—
Тээмэсник
(08.10.2004 08:30,
пустое
)
А ЕСКД еще действует?
—
A_S_N
(07.10.2004 23:15, 180 байт)
Действуют, действуют. Кстати (+)
—
SM
(07.10.2004 23:20, 566 байт)
Дык, я и не говорю, что нельзя оформить :).
—
A_S_N
(08.10.2004 00:10, 1647 байт)
Ответ: (+)
—
_ik_
(08.10.2004 10:51, 267 байт)
Ответ:
—
SAZH
(08.10.2004 11:45, 358 байт)
Ага, например, вхождение проводника в шину сделать не под углом 45, а 90 (+).
—
A_S_N
(08.10.2004 13:13, 675 байт)
Ответ:
—
SAZH
(08.10.2004 14:15, 301 байт)
Что-то я недопонял (+)
—
SM
(08.10.2004 13:27, 777 байт)
Зх, уважаемый SM, если бы все с...ёры были такими разумными как Вы (+)
—
A_S_N
(08.10.2004 22:37, 1824 байт)
Ответ: (+)
—
SM
(08.10.2004 07:43, 601 байт)
Абсолютно согласен. PCAD в плане оформления по ГОСТ более удобен.
—
A_S_N
(08.10.2004 09:40, 519 байт)
Ответ:
—
SAZH
(08.10.2004 10:24, 464 байт)
Красивая аллегория!
—
PicoDev2
(08.10.2004 01:01,
пустое
)
А из Protel их возможно ли перетащить в PCAD каким-нибудь конвертором?
—
Survivor
(07.10.2004 21:52,
пустое
)
Если нет на www.pcad.com в libraries, то только спросив где-нить тут у народа...
—
SM
(07.10.2004 20:28,
пустое
)
Там все застыло 2000 -2001 годом.... Да и Philips почему-то нет ;-((
—
Survivor
(07.10.2004 20:50,
пустое
)
В документации Xilinx на Virtex II PRO говорится, что почти каждую пару ножек можно сконфигурировать как 840 Мб/с дифф линию...
—
EvgY
(07.10.2004 19:11, 347 байт)
Ответ: Не знаю как во втором, а вот в обычном, рекомендовали чтобы выводы находились с левого и правого краёв
—
vitus_strom
(08.10.2004 11:01,
пустое
)
Ну дык надо верить документации. Значит так оно и есть.
—
Тээмэсник
(08.10.2004 08:32,
пустое
)
О размерах :)
—
Гяук
(07.10.2004 17:32, 147 байт)
А сложность монтажа имеет значение?
—
Тээмэсник
(08.10.2004 08:34,
пустое
)
Ответ: пока нет. Важна принципиальная информация по минимальным размерам.
—
Гяук
(08.10.2004 12:13,
пустое
)
CPLD в корпусах ChipScale, Ultra Fineline BGA (6x6, 7x7мм)
—
IgorK
(07.10.2004 18:54, 197 байт,
ссылка
)
Ответ: Спасибо :)
—
Гяук
(08.10.2004 12:30,
пустое
)
Самый маленький Package - это его отсутствие, т.е. разварка кристалла на плату.
—
SM
(07.10.2004 17:34,
пустое
)
Ответ: Да это-то я в курсе, но хотелось бы знать минимальные производимые размеры корпусов
—
Гяук
(08.10.2004 12:17, 259 байт)
А это не тут узнается (+)
—
SM
(08.10.2004 13:11, 160 байт)
Вопрос по ACEX...
—
=mse=
(07.10.2004 17:16, 96 байт)
А тем, что (+)
—
SM
(07.10.2004 17:28, 126 байт)
О! Пасибо.
—
=mse=
(07.10.2004 17:43,
пустое
)
23 октября еду в Минск, могу привезти из за кордона комплектацию ... мейл внутри
—
vitus_strom
(07.10.2004 16:06, 17 байт)
Не понял, в Минск привезти или из Минска ?
—
Шурик
(07.10.2004 18:59,
пустое
)
Ответ: в Минск!
—
vitus_strom
(07.10.2004 19:07,
пустое
)
О-о-о-о !!! Это есть очень хорошо !
—
Шурик
(07.10.2004 19:34,
пустое
)
qqq
—
wwww
(07.10.2004 15:55, 3 байт)
Офф: Только что (+)
—
-=Sergei=-
(07.10.2004 15:29, 661 байт)
Ответ: А что обязательно 3-х метрового, потом скажешь подрос или усох....
—
vitus_strom
(07.10.2004 16:09,
пустое
)
продаю питоны, недорого... от бухты (340м) и больше - скидка :)
—
Doka
(07.10.2004 16:04,
пустое
)
В каком файле проекта Квартуса находится информация о пинах? (+)
—
Лёлик
(07.10.2004 14:56, 101 байт)
.qsf
—
Fat Robot
(07.10.2004 16:32, 1216 байт)
Точно! Вроде оно!
—
Лёлик
(07.10.2004 16:44,
пустое
)
Тебе нужно перекопировать все файлы <project_name>.* (со всеми расширениями)
—
nsl
(07.10.2004 15:26,
пустое
)
Спасибо. Одним файлом *.pin в самом деле не получается... обломно...
—
Лёлик
(07.10.2004 15:39,
пустое
)
(+)
—
Лёлик
(07.10.2004 16:57, 225 байт)
В более старых - в *.csf, потос - в *.eqn. В 4.1SP2 - в *.pin
—
nsl
(07.10.2004 15:25,
пустое
)
*.acf в Максе было
—
IO
(07.10.2004 15:15,
пустое
)
*.acf
—
IO
(07.10.2004 15:14,
пустое
)
Ниос2: чего где прописать?
—
izrp
(07.10.2004 13:36, 812 байт)
У Вас часом имя пользователя Windows не по-русски названо?
—
svf
(07.10.2004 20:08,
пустое
)
Кругом сплошной гемор!
—
izrp
(08.10.2004 08:40, 472 байт)
Посоветуйте преобразователь логических уровней на 48 или 24 линии из 3.3V в 5V
—
AOSP
(07.10.2004 11:20,
пустое
)
3,3 -> 5? Цепляй напрямую. Если 3,3В ЦМОС-выход, ессно.
—
=mse=
(07.10.2004 11:32,
пустое
)
Люди, подскажите! Волею судеб пришлось срочно вступить в Актел. Есть непонятка: сгенерил ихним визардом двухпортовую память(+)
—
k_george
(07.10.2004 08:23, 270 байт)
http://www.actel.com/documents/mpm_apa.zip
—
KA_n
(07.10.2004 14:33,
пустое
,
ссылка
)
Ответ: Ага и мне волею судеб(блин,звезды так легли на небе) ,генерилка лицензий на Либеру 5.2 или 6.0 нужна.Конечно все строго в учебных целях...Американосы могут спать спокойно.
—
Z
(08.10.2004 16:17,
пустое
)
1 A kakim softom ty polsueshsja
—
KA_n
(07.10.2004 13:23,
пустое
)
Софт самый простой - ActiveHDL 6.2. Дело в другом - в библиотеке apa.vhdl в объекте RAM256x9 определены выходы D0,D1... , а назначения им нету. Библиотека свежайшая - которая сейчас есть на сайте Актела. Так что вступили так вступили - похоже ПердПятничная подкралась!!!
—
k_george
(07.10.2004 13:40,
пустое
)
Лично Я Aldec for Actel не использовал. А проблемы с симуляцией памяти в Libero 5.1 были, но после SP3 пропали. И чем вам Libero не подошло?
—
KA_n
(07.10.2004 14:21,
пустое
)
Пробую Liberу - она ModelSim автоматом запустить не может - всю кучуфайлов поодиночке вручника таскать приходится - вот гемор. Хотя может так и надо, а то с Aldec'ом избаловался?
—
k_george
(07.10.2004 15:47,
пустое
)
Да ещё этот грёбаный Mentor русских букв не переваривает даже в коментариях!!
—
k_george
(07.10.2004 15:49,
пустое
)
Ну пишите комментарии по английский :) (+).
—
A_S_N
(07.10.2004 23:02, 630 байт)
Библиотека не причем. Это ACTIV- HDL. Пользуйся Libero или чем-нибудь от Mentora.
—
Лютый
(07.10.2004 13:58,
пустое
)
Смотри куда ступаешь. А то вляпаешся - не отмоешся.
—
ПредПятничная Жопа
(07.10.2004 12:24,
пустое
)
Подскажите. Какие синтезаторы для Altera имеют библиотеку IEEE.math_real.
—
V61
(06.10.2004 18:30,
пустое
)
Причём здесь синтезаторы и тем более Альтера? Это вещь стандартная есть у IEEE. Могу намылить.
—
k_george
(07.10.2004 08:11,
пустое
)
адрес слетел
—
V61
(07.10.2004 11:37, 28 байт)
Буду признателен, у меня они где-то потерялись. Но дело не в этом.
—
V61
(07.10.2004 11:34, 314 байт)
Тоже было б интересно глянуть, как там normal реализован и пр.
—
bbg
(07.10.2004 09:20,
пустое
)
Чек мыл
—
k_george
(07.10.2004 09:52,
пустое
)
А можно и мне намылить....
—
EvgY
(07.10.2004 11:07, 18 байт)
И мине пажалуйста! :)
—
Гяук
(07.10.2004 14:07,
пустое
)
Лови
—
k_george
(07.10.2004 11:48,
пустое
)
Что-то не ловится (-)
—
EvgY
(07.10.2004 12:57,
пустое
)
Извините, если что-то не то сказал... (С-АТА).
—
Точка опоры
(06.10.2004 18:20, 87 байт,
ссылка
)
EPM7128SLC
—
Evgeni
(06.10.2004 16:14, 244 байт)
А Вы там поддержку JTAG не стерли часом?
—
Сидоргек
(06.10.2004 16:40,
пустое
)
Ответ: Нет. так как сигналы JTAG присутствуют
—
Evgeni
(06.10.2004 16:50,
пустое
)
Была еще такая проблема - все сигналы есть, но какой-то не проседает до нуля, что-то там его подтягивало вверх
—
lipskiy
(06.10.2004 17:01,
пустое
)
Земли платы с альтерой и компа, куда байтбластер включен, объединены?
—
lipskiy
(06.10.2004 16:19,
пустое
)
Ответ: Да.Сигналы проверялись относительно корпуса компа.
—
Evgeni
(06.10.2004 16:23,
пустое
)
Ответ:
—
SAZH
(06.10.2004 17:14, 354 байт)
Ответ: Спасибо всем за ответ! Нашел неисправность. HC244 в byteblaster в одном разряде со входа на выход имела сопротивление, т.е. была пробита.
—
Evgeni
(06.10.2004 17:24,
пустое
)
На первом Байтбластере после аналогичных случаев и трехкратной перепайки НС244 впаяли панельку :) теперь как назло уже года три микросхема живет
—
Vist
(07.10.2004 11:15,
пустое
)
Это еще ничего не значит (+)
—
lipskiy
(06.10.2004 16:40, 501 байт)
Если у вас такого провода не было - можете смело перепаивать альтеру.
—
lipskiy
(06.10.2004 16:42,
пустое
)
Ответ: Скорее всего так оно и есть .Хорошо микросхема в панельке.Единственное я никаких манипуляций с byteblaster не производил.Просто на следующий день включил и все.Спасибо за ответ.
—
Evgeni
(06.10.2004 16:56,
пустое
)
Если байтбластер не вытыкали за это время, то тогда по идее это не могло быть причиной, земли то не разрывались... Хотя черт его знает
—
lipskiy
(06.10.2004 17:02,
пустое
)
Как шить ATF16V8B?
—
GR
(06.10.2004 15:22, 173 байт)
неспециальным программатором ChipProg+ (если ATF16V8B/L)
—
A.A.P.
(06.10.2004 18:21,
пустое
)
Специальным программатором. Например TopMax их шьет. Ставьте лучше что-нить с ISP, типа EPM3032
—
SM
(06.10.2004 17:21,
пустое
)
Есть логика в корпусах SOT23-5 и SOT23-6(-)
—
misyachniy
(06.10.2004 18:35,
пустое
,
ссылка
)
Какую внешнюю память вы считаете наиболее удобной в применении с PLD (+)
—
lipskiy
(06.10.2004 15:01, 502 байт)
Ну дык используйте SBSRAM или ZBTRAM, в чём проблема?
—
Тээмэсник
(06.10.2004 17:11,
пустое
)
Проблема - а че это за память? Я такой просто не знаю
—
lipskiy
(06.10.2004 17:29,
пустое
)
А ZBT - Zero Bus Turnaround. Побыстрее SBS'а за счет отсутствия пустых тактов между RD и WR.
—
SM
(07.10.2004 09:42,
пустое
)
Если я ещё не забыл, то SBSRAM получше будет (проще обращаться). И не медленней она. Даже у ZBT на один такт больше при первом обращении (за счёт того, что в одном цикле адрес/операция, и только в следующем - результат). В своё время обе (от Микрона) пользовал в одном девайсе. И с ZBT корячился малость при пользовании в Спартане-2. SBSRAM висела на 6711.
—
Тээмэсник
(07.10.2004 12:10,
пустое
)
Посоветуйте, плиз, конкретные микросхемы конкретных производителей, с которыми вы уже работали и точно знаете что все ок
—
lipskiy
(07.10.2004 12:49,
пустое
)
Например, от IDT - IDT71V432 (32k x 32), IDT71V632 (64k x 32) и т.п. 3.3V, SBSRAM, есть industrial. А 4-мегабитных у них вообще куча, есть и 9 Мбит.
—
Тээмэсник
(08.10.2004 08:41,
пустое
)
Вот недавно покупали
—
-=ВН=-
(07.10.2004 17:03, 243 байт)
Ну и ну, открыл сайт Микрона и обомлел: оказывается он уже SRAM больше не делает! Только разные варианты DRAM и псевдо SRAM CellularRAM. Стыд и SRAM! Так что с теми что я работал можно завязать. Смотри у других: IDT, Samsung, и т.д. Еще см. AppNote-ы у Xilinx, там бывают примеры. Да и вообще любые, какие найдешь (купишь), проблем быть не должно.
—
Тээмэсник
(07.10.2004 13:38,
пустое
)
Кстати, они в унифицированных корпусах, так что можно разных фирм юзать и на разный объём заложиться, а потом уже искать что можно купить. Мы так и делали.
—
Тээмэсник
(07.10.2004 14:01,
пустое
)
Ответ: SBSRAM - Syncronous SRAM. Производят все те же, только в Москве их я чего-то на складах не видел (с год назад искал). Только под заказ.
—
Log
(06.10.2004 22:10,
пустое
)
Ответ: Syncronous burst SRAM.
—
Log
(06.10.2004 22:11,
пустое
)
Откуда можно утянуть ISE6.3?
—
Willie
(06.10.2004 14:15,
пустое
)
Подскажите по глюкам в работе счетчика в EPM7064S
—
A.A.P.
(06.10.2004 12:13, 296 байт)
Ответ: 100 Ом в разрыв клока помогает
—
Electronik
(08.10.2004 14:05,
пустое
)
Ответ: Чего-то как очень началась, народ потянулся к древней Альтере... К чему бы это?
—
Log
(06.10.2004 22:12,
пустое
)
чё валялось в столе, к тому и потянулись
—
A.A.P.
(08.10.2004 13:11,
пустое
)
Ну, эта...типа к истокам припасть, к корням ;О)
—
=mse=
(07.10.2004 11:36,
пустое
)
Ответ:
—
pikar
(06.10.2004 14:08, 136 байт)
Global OE и RESET подключены к земле, а также отключены в Global Project Logic Synthesis
—
A.A.P.
(06.10.2004 14:12,
пустое
)
Два последовательных триггера и ловите фронт входного сигнала по разнице между ними. Метатабильность, однако.
—
cms
(06.10.2004 14:04,
пустое
)
Триггер шмитта по клоку спасет Вас с большой вероятностью.
—
SM
(06.10.2004 13:17,
пустое
)
Клок через инвертер подан на выход. На нем все ОК.
—
A.A.P.
(06.10.2004 13:34,
пустое
)
Инвертор может не дать (+)
—
SM
(06.10.2004 13:40, 205 байт)
Инвертор внутри ПЛИС...
—
A.A.P.
(06.10.2004 13:59,
пустое
)
Ответ:
—
Ig_D
(07.10.2004 20:27, 356 байт)
А это вообще не считается. Надо чтобы снаружи клок корректный приходил.
—
SM
(06.10.2004 17:11,
пустое
)
А входной фронт меньше 40нС?(или сколько там надо)
—
=mse=
(06.10.2004 13:13,
пустое
)
А вы используете только выход переноса? (+)
—
Гяук
(06.10.2004 12:34, 354 байт)
Ответ:
—
A.A.P.
(06.10.2004 13:31, 47 байт)
А не подскажите где можно скачать Pci compiler v 2.3-2.4.
—
d_y
(06.10.2004 11:30,
пустое
)
На сайте Alter'ы, а потом декриптуется
—
k_george
(06.10.2004 11:47,
пустое
)
Ответ: Так там только версия 3.2.0 доступна для скачивания.
—
d_y
(06.10.2004 12:11,
пустое
)
Извиняюсь - понял, что нужно старое. Только что выложил pci_compiler-v2.4.0.exe на ftp://electronix.ru/upload/FPGA. Могете забрать
—
k_george
(06.10.2004 12:38,
пустое
)
Ответ: Student
—
adhsfdjs
(29.06.2005 20:56
201.14.142.14
, 50 байт)
Ответ: Спасибо.
—
d_y
(06.10.2004 13:35,
пустое
)
BLUETOOTH (кому интересно)
—
_ik_
(06.10.2004 10:18, 178 байт)
Пользуюсь, доволен
—
-=Shura=-
(06.10.2004 11:15, 124 байт)
Ответ: обнадёживает
—
_ik_
(06.10.2004 11:20,
пустое
)
Может кто подскажет по PCI PLDA 7.0.2 (+)
—
Realking
(06.10.2004 09:54, 157 байт)
Попробуйте ещё раз визардом - руками не трогать. Может какая нестыковка в параметрах. Кстати, где её (7.02 именно) взять?
—
k_george
(06.10.2004 10:52,
пустое
)
Последний раз была в нулевой будке в папке foxterrierfox
—
Сидоргек
(06.10.2004 11:21,
пустое
)
This folder is currently empty. И уже давненько
—
k_george
(06.10.2004 11:44,
пустое
)
Специально полез и посмотрел. Все на месте. Входить туда нужно так: (+)
—
Сидоргек
(06.10.2004 12:43, 167 байт)
Действительно всё есть Сэнкс!!!
—
k_george
(06.10.2004 13:46,
пустое
)
Во блин, создал VHDL файл вместо верилога и все заработало
—
Realking
(06.10.2004 11:05,
пустое
)
Прислала футболку ALTERA из Дублина, а изготовлена она в Гондурасе. 52 размера. Это что для Гондураских женщин изготовлено?
—
KA_n
(06.10.2004 00:42,
пустое
)
т.е. XL наверное :)? Раньше они хоть L слали, да и пр-ва фирмЫ "Fruit of the Loom", однако :)
—
Victor®
(06.10.2004 16:07,
пустое
)
За какие заслуги перед Родиной приза удостоились?
—
Andy-P
(06.10.2004 14:46,
пустое
)
Видно, в понимании альтеровцев уровень жизни у их клиентов возрос (толще стали). Прошлый раз, когда майку прислали, еле надел, хотя был моложе и худее.
—
Сидоргек
(06.10.2004 10:06,
пустое
)
Ответ:
—
Сидоргек
(08.10.2004 18:08,
пустое
)
ага....а вот еще слышал что adidas (puma?) левые кроссовки изготавливал в малайзии а правые гденибудь на филлипинах:)) или что в этом духе
—
ы
(06.10.2004 09:56,
пустое
)
А кто чего хорошего про новые закрома может сказать??? Киньте инфу на мыло...
—
LeonY
(05.10.2004 22:47,
пустое
)
Пока ничего хорошего сказать не могу: логин/пароль по почте получил ещё 4-го числа, а войти до сих пор не могу - либо после ввода пароля пишет ошибка 550, либо сервер вообще ноль эмоций
—
Dimonira
(08.10.2004 14:00,
пустое
)
И я хочу все знать
—
Po Hab Nik
(08.10.2004 12:42,
пустое
)
Ответ: И мне если не затруднит
—
PWA
(07.10.2004 08:20,
пустое
)
Ответ: И мне, если не затруднит
—
OVR
(06.10.2004 13:40,
пустое
)
Ответ: Я и старых-то не видел, что уж там про новые :(
—
Гяук
(06.10.2004 12:36,
пустое
)
Что значит НОВЫЕ? Поведайте!!
—
k_george
(06.10.2004 10:47,
пустое
)
И мне плиз
—
Realking
(06.10.2004 08:15,
пустое
)
Заполнить форму для отправки сообщения
|||
Телеконференции
|||
Главная страница
|||
Конференция без кадра
|||
Архив без кадра