[an error occurred while processing this directive]
signal CNT : std_logic_vector(n-1 downto 0) := (others => '0');
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 08 октября 2004 г. 13:13
В ответ на: Active-HDL. Пишу счетчик на VHDLе Reset подключен к земле, при симулировании выходы счеичика в неопределенном состоянии. отправлено Старина Хэнк 08 октября 2004 г. 12:04


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru