[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено himer 26 сентября 2004 г. 13:21
В ответ на: ну так вроде пакеты и в.ч. для того и предназначены, чтобы в них объявлять исп-емые компоненты(см.стандарт на VHDL), и кроме того (+) отправлено Doka 25 сентября 2004 г. 16:12

А библиотеки от XE поставлены? может в этом проблема просто нету simprim-ов от xilinx-а

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru