[an error occurred while processing this directive]
Ну вы как прям первый год замужем :-) На VHDL так же как и обычный компонент. (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 23 сентября 2004 г. 09:21
В ответ на: Куда совать эту строку? Где и как прописываются атрибуты? Где должно лежать описание black_box и в каком виде? отправлено druzhin 22 сентября 2004 г. 17:57

architecture ... of ... is

attribute syn_black_box : boolean;

component BUFT is
port(...);
end component;
attribute syn_black_box of BUFT : component is true;

...

И так для всех блэкбоксов.

Вообще читайте доки, они рулез. :-) В доке на Синплифай все атрибуты расписаны и даны примеры для VHDL и Verilog.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru