[an error occurred while processing this directive] [an error occurred while processing this directive]
Проблемы компилятора МАХ_ПЛЮС
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Aleksandr_ 24 ноября 2001 г. 14:56

Привет ALL!

Возникла проблемка при создании одного сложного проекта, состоящего из нескольких малых, которые на сто раз проверены и в девайсах тоже( АСЕХ). Если вкратце то после компиляции и прошивки вдруг ни с того ни с сего начал перезащелкиваться триггер на выходе дешифратора адреса. Причем глюк в простой структeре
signal=DFF( DATA[]==ADRES, strobe, ,); Иногда при выставлении правильного адреса и после строба защелкивания приггер сбрасывался, где то через 100нс сам по себе. И signal пропадал. Причем точно известно, что внешних наводок на strobe нет (я их все отфильровал). И еще одно - в проекте куча других таких же дешифраторов с триггерами и они прекрасно работают без глюков. Питание тоже все в норме. И еще если в прект ввести несколько ненужных нодов и выходов (посадил их на gnd), то вдруг весь проект начинает работать. И в связи с этим у меня предположения:
1- Или МахПлюс хрен знает как откомпилировал прект, то есть неправильно или с суперглитчами, хотя откуда глитчи в такой простой структуре???
2- Или внутри ПЛИС (брал АСЕХ 1к30) есть внутренние наводки по своим цепям. (в принципе она протестирована до 200 МГц, а внутренние импульсы (глитчи логики) типа 1 нс - это ведь уже 1 ГГц!)
3-Или законы физики не работают

Люди кто сталкивался с такими чудесами???? Может подскажете в чем может быть причина??????

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru