[an error occurred while processing this directive]
а какие условия вообще и в частности для свдинутого клока? можно вообще так
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Romario 28 июля 2004 г. 19:42
В ответ на: Вопрос для тех, кому не лениво пошевелить серым веществом. :-) Схемотехнически вроде задачка несложная, но что-то у меня какое-то навороченное решение получается. Может, кто предложит по-галантнее? (+) отправлено THEOdore 28 июля 2004 г. 19:10


fclk: in std_logic;
n1: out std_logic; -- сдвинут на 1 клок
n2: out std_logic; -- сдвинут на 2 клок
......

process(fclk)
begin
if (rising_edge(fclk)) then
n0 <= slow_clk;
n1 <= n0;
n2 <= n1;
end if;
end process

т.е. просто сэмплируем значение медленного клока и пропускаем через сдвиговый регистр:))))

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru