[an error occurred while processing this directive]
из хелпа к Квартусу:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено vladz 15 июля 2004 г. 12:25
В ответ на: А как примитив Global подключить в VHDL к определенному сигналу? В AHDL это просто, а вот в VHDL что-то не пойму. отправлено Question 15 июля 2004 г. 10:28

VHDL Component Declaration:
COMPONENT GLOBAL
PORT (a_in : IN STD_LOGIC;
a_out: OUT STD_LOGIC);
END COMPONENT;

или задать атрибут Global для нужного сигнала прямо через Assignment Editor

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru