[an error occurred while processing this directive]
Кстати если эту программу (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено Question 05 июля 2004 г. 13:33
В ответ на: Ответ: попробовать переписать счетчик? (+) отправлено andrew_b 05 июля 2004 г. 13:21

уже с учетом изменений:

library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.std_logic_unsigned.all;

entity count_load is
port(
clk : in std_logic;
f : out std_logic);
end count_load;

architecture a of count_load is
signal cnt : std_logic_vector (20 downto 0);
begin
process (clk)
begin
if (clk'event and clk = '1') then
if cnt = 475416 then
cnt <= "001110100000100011000";
else
cnt <= cnt + 1;
end if;
end if;
end process;
f <= cnt(20);
end a;

запустить на максе, то он дает 39 ячеек и быстродействие 56 МГц. В то время как квартус 21 ячейку и быстродействие 133 МГц. Вот такие разные компиляторы.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru