[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено Qu 05 июля 2004 г. 13:22
В ответ на: Ответ: попробовать переписать счетчик? (+) отправлено andrew_b 05 июля 2004 г. 13:21

architecture a of count_load is
signal cnt : std_logic_vector (20 downto 0);
begin
process (clk)
begin
if (clk'event and clk = '1') then
if cnt = 475416 then
cnt <= "001110100000100011000";
else
cnt <= cnt + 1;
end if;
end if;
end process;
f <= cnt(20);
end a;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru