[an error occurred while processing this directive]
2 Valeri. Проверять надо корректно.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 11 июня 2004 г. 18:33

Вот такой делитель:
port (
dividend: IN std_logic_VECTOR(15 downto 0);
divisor: IN std_logic_VECTOR(15 downto 0);
quot: OUT std_logic_VECTOR(15 downto 0);
remd: OUT std_logic_VECTOR(15 downto 0);
c: IN std_logic);
При CLK = 1.
После MAP:
Logic Utilization:
Number of Slice Flip Flops: 895 out of 1,536 58%
Number of 4 input LUTs: 303 out of 1,536 19%
Logic Distribution:
Number of occupied Slices: 457 out of 768 59%
Латентность 16 циклов, это все равно, что последовательный. Выбор крайне ограничен.
Хотя количество LUTs близко к идеалу (272, без особой оптимизации), но количество Flip Flops совершенно не понятно.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru