[an error occurred while processing this directive]
Так вроде Синплифай отродясь к черному ящику никаких женериков не цеплял. Надоть через атрибуты. Или что-то уже изменилось?
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 10 июня 2004 г. 15:13
В ответ на: Вопрос: при синтезе в Synplify7.6 объявляю vhdl-компонент как black-box, но при этом он теряет generics map отправлено cms 10 июня 2004 г. 14:48


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru