[an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено DmitriP 04 июня 2004 г. 12:52
В ответ на: условный синтез в VHDL отправлено lutik 04 июня 2004 г. 11:24

Можно использовать GENERIC в описании компонента:
entity lllll is
GENERIC (NUM_IN : natural := 4;
NUM_OUT : natural : 2)
PORT(inbus : in std_logic_vector(NUM_IN - 1 downto 0)
......
outbus : std_logic_vector(NUM_OUT - 1 downto 0));
end lllll


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru