[an error occurred while processing this directive]
Каким лучше способом организовать ввод-вывод в порт на PCI?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Dark 15 мая 2004 г. 10:39

Имеется альтернатива в выборе способа организации ввода-вывода в порт на target PCI устройстве.
Я смотрел структурные схемы корок для target устройств (PLDA, etc) и везде применяется следующий подход: линии со стороны PCI AD заводятся в кристалл, а далее их них организуется, в большинстве случаев, разделенная на ввод и вывод шина данных для пользовательского приложения. То есть получается, что разработчик просто может навесить на шину ввода данных защелки и все порты ввода готовы, а на шину вывода данных регистры D-типа и вот тебе порты вывода. Ну и отдельно конечно сигналы для управления чтением записью в порт.
С другой стороны на конфе я в старых темах нашел target устройство за авторством AndrewBuckin, там применен следующий подход на кристалле GAL22V10C реализован автомат состояний и со стороны PCI шины в кристалле обрабатываются только управляющие сигналы. Никакой собственной шины для пользовательского приложения нет, а порты нацеплены непосредственно на двунаправленные линии AD. С этого же кристалла выведены и сигналы чтения записью в порт. По сравнению с первым способом имеем выигрыш в ресурсах, так как заводить шины данных через ПЛИС, сами понимаете потребует дополнительной емкости.

Вопрос: какой способ будет более грамотным?

PCI автомат и управляющие сигналы для ввода-вывода реализуются на MAX7000S.
Желательно чтобы ответ дали люди уже имевшие опыт в разработке target PCI устройств на базе ПЛИС.
Спасибо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru