[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 11 мая 2004 г. 13:40
В ответ на: Ответ: (+) отправлено SM 11 мая 2004 г. 13:17

А лихо это у Вас получается. Завалить потоком вырванной из контекста информацией. Не имеет значения кто и как что делает. Имеет значение как систему вязать. Чтобы это работало и на КМОП, и на ТТЛ и на черте в ступе. Кстати от использования мегафункции LPM_FIFO_DC давно отказались, Registered Performance частенько не проходит. А до скурпулезного синтеза не дорос.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru