[an error occurred while processing this directive]
Вопрос знатокам :-)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Igor_K 28 апреля 2004 г. 18:19

Если кто-нибудь пытался сделать на VHDL счетчик, работающий с двумя фронтами на входе, подскажите, почему нижеприведенный шедевр не хочет работать в ACEX1K ?


library ieee;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY dc_counter IS
PORT
(
clk : IN STD_LOGIC;
clrn : IN STD_LOGIC;
ena : IN STD_LOGIC;
out_data : OUT INTEGER RANGE 0 TO 255
);
END dc_counter;
ARCHITECTURE behavior OF dc_counter IS
SIGNAL cnt : INTEGER RANGE 0 TO 255;
BEGIN
PROCESS (clk, clrn)
BEGIN
IF clrn = '0' THEN
cnt <= 0;
ELSIF (clk'EVENT ) THEN
IF ena = '1' THEN
cnt <= cnt + 1;
ELSE
cnt <= cnt;
END IF;
END IF;
END PROCESS;
out_data <= cnt;
END behavior;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru