[an error occurred while processing this directive]
Попробуй так.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено si 24 апреля 2004 г. 23:26
В ответ на: можно ли на vhdl max2plus исп OUT порты с третьим состоянием- компилер ругается- как победить? (код +) Спасибо. отправлено гоша 24 апреля 2004 г. 13:46


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--=============================================================================

ENTITY position_latch IS
GENERIC (WIDTH : integer :=16);
PORT (
DATA : IN std_logic_vector (15 downto 0);
RST : IN std_logic;
CLK : IN std_logic;
OE : IN std_logic;
Q : OUT std_logic_vector(15 downto 0)
);
END position_latch ;
--=============================================================================
ARCHITECTURE behv OF position_latch IS
signal Qtmp : std_logic_vector(15 downto 0);
BEGIN

--=============================================================================

SS: PROCESS(Clk, rst)
BEGIN
if (RST = '0') then
Qtmp <= "0000000000000000";
elsif (Clk'event and Clk = '1') then
Qtmp <= DATA;

end if;
END PROCESS SS;

Q<=Qtmp
when OE ='1'
else "ZZZZZZZZZZZZZZZZ";

--=============================================================================
END behv;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru