[an error occurred while processing this directive]
Ответ: Вот фактически и сам делитель
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено NiCC 03 апреля 2004 г. 12:44
В ответ на: а описанно у вас все как?? можно код в студию у мен рядом такая же и все работает отправлено des00 02 апреля 2004 г. 14:59

PROCESS--делитель клокера
variable c:integer range 0 to 10;
BEGIN
WAIT UNTIL cl'EVENT and cl = '1';
IF (c=10) THEN
clk<=not clk;
c:=0;
else
c:=c+1;
end if;
END PROCESS;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru