[an error occurred while processing this directive]
Ответ: Попробуй так
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено rudys 01 апреля 2004 г. 00:45
В ответ на: Глюк. ВХДЛ. Модел сим. отправлено zlyh 30 марта 2004 г. 20:29

ENTITY reg IS
GENERIC(
width_bus : positive := 8
);
PORT(
clk : IN std_logic;
din : IN std_logic_vector (width_bus - 1 DOWNTO 0);
reset : IN std_logic;
dout : OUT std_logic_vector (width_bus - 1 DOWNTO 0)
);

-- Declarations

END reg ;

ARCHITECTURE untitled OF reg IS

BEGIN
proc : process (clk, reset)
variable o_data : std_logic_vector(width_bus - 1 downto 0);
begin
if (reset = '1') then
o_data := (others => '0');
elsif clk'event and (clk = '1') then
o_data := din;
end if;

dout <= o_data;

end process proc;

END untitled;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru