[an error occurred while processing this directive]
Я пробовал Verilog в VHDL (т.к. с Verilog не дружу). Нормально работает. Synplify Pro, по крайней мере синтезит после этого.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Victor® 30 марта 2004 г. 19:05
В ответ на: Ответ: Спасибо, а ты ее в деле пробовал - глюков каких там нет? отправлено axalay 30 марта 2004 г. 14:47


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru