[an error occurred while processing this directive]
Интересно.... >>>>>
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Vladimir_ 12 февраля 2004 г. 18:18
В ответ на: Интересно как вы все это высмотрели (+) отправлено _aquarius_ 12 февраля 2004 г. 17:56

>>1. Вероятно ошибка в дрфйвере, если с
>>изменением длины передаваемого блока
>>так сильно скачет время зависания.

Не можете намекнуть, что там может быть? Программист утверждает, что у него всё работает, и никаких сбоев быть не может.

>>2. Возможно ваша железка неверно
>>обрабатывает delayed transactions -
>>хотя это приводит к "быстрому" зависанию.

Машинка действительно виснет не быстро. Вот, только что до 4,5 млн циклов (блоков) записи сотворила.

/*Причём при передаче "больших" (например 4К) блоков возникают Del trans, но передача идёт нормально. После передачи блока я выставляю INT, осциллографом синхронизируюсь по соответствующему спаду, посему другим лучиком могу видеть всё, что происходило на шине до INT - когда происходила пердача данных, как она велась, и что происходило.*/

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru