[an error occurred while processing this directive]
ну а коэффициенты (конфигурация)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 11 февраля 2004 г. 19:05
В ответ на: ну у меня описано так - нужно переделывать под архитектуру отправлено yes 11 февраля 2004 г. 19:03

package MFDATA is

constant TAPS : integer := 126; -- number of filter stages

type cfg_data is record
WIDTH : integer;
DELAY : integer;
COFF : integer;
end record;

constant CFG_DEFAULT : cfg_data := (4,6,-1);

type cfg_data_array is array (1 to TAPS) of cfg_data;

constant CFG_FILTER : cfg_data_array :=
(

-- GENERATED BY MATLAB
(3,8,1),
(3,8,1),
(4,8,1),
(4,7,1),
(4,8,1),
(4,8,1),
(5,7,-1),
(5,8,1),
(5,8,-1),
(5,8,1),
(5,7,-1),
(5,8,1),
(5,8,-1),
(5,7,-1),
(6,8,1),
(6,8,1),
(6,7,-1),
(6,8,-1),
(6,8,1),
(6,8,1),
(6,7,1),
(6,8,-1),
(6,8,1),
(6,7,1),
(6,8,1),
(6,8,-1),
(6,7,1),
(6,8,-1),
(6,8,-1),
(6,8,1),
(7,7,-1),
(7,8,1),
(7,8,1),
(7,7,-1),
(7,8,-1),
(7,8,-1),
(7,7,1),
(7,8,1),
(7,8,-1),
(7,8,1),
(7,7,1),
(7,8,1),
(7,8,1),
(7,7,-1),
(7,8,1),
(7,8,1),
(7,7,-1),
(7,8,1),
(7,8,-1),
(7,8,1),
(7,7,1),
(7,8,-1),
(7,8,1),
(7,7,1),
(7,8,-1),
(7,8,-1),
(7,7,1),
(7,8,-1),
(7,8,-1),
(7,8,1),
(7,7,-1),
(7,8,-1),
(8,8,-1),
(8,7,1),
(8,8,1),
(8,8,1),
(8,7,-1),
(8,8,-1),
(8,8,-1),
(8,8,-1),
(8,7,1),
(8,8,-1),
(8,8,1),
(8,7,1),
(8,8,1),
(8,8,1),
(8,8,1),
(8,7,-1),
(8,8,-1),
(8,8,1),
(8,7,-1),
(8,8,1),
(8,8,-1),
(8,7,1),
(8,8,1),
(8,8,1),
(8,8,-1),
(8,7,-1),
(8,8,1),
(8,8,1),
(8,7,-1),
(8,8,1),
(8,8,-1),
(8,7,-1),
(8,8,-1),
(8,8,1),
(8,8,-1),
(8,7,-1),
(8,8,1),
(8,8,1),
(8,7,1),
(8,8,1),
(8,8,-1),
(8,7,-1),
(8,8,-1),
(8,8,1),
(8,8,-1),
(8,7,1),
(8,8,-1),
(8,8,-1),
(8,7,-1),
(8,8,-1),
(8,8,1),
(8,7,1),
(8,8,-1),
(8,8,-1),
(8,8,-1),
(8,7,-1),
(8,8,-1),
(8,8,1),
(8,7,-1),
(8,8,-1),
(8,8,-1),
(8,7,-1),
(8,8,-1),
(8,8,-1)

);

end MFDATA;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru