[an error occurred while processing this directive]
Пример для Синплифая
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 23 января 2004 г. 09:19
В ответ на: банан - Synplify такого атрибута не знает и игнорирует его, пробовал отправлено lutik 22 января 2004 г. 19:01

Кусочек ВХДЛного исходника для Синплифая:

architecture ... of ... is
attribute xc_loc : string;
component CLKDLL is
...
end component;
attribute xc_loc of clkdll_u : label is "DLL0P";

begin
clkdll_u: CLKDLL
...

"loc" стоит в исходном коде т.к. из за него алгоритм меняется.

Другое дело если надо разместить блоки которые получаются после МАРпирования проекта, т.е. те, которые в синтезаторе ещё не существют.
Тогда в .ucf-е например:
AREA_GROUP "имякомпоненты" RANGE = CLB_R2C69:CLB_R16C72 ;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru