[an error occurred while processing this directive]
Ответ: Насчет PLL действительно подумай, а вообще, какой еще способ получения частоты ФИЗИЧЕСКИ возможен, кроме деления?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Log 09 января 2004 г. 11:14
В ответ на: Так если частоту поделить, то будет малый набор перестраиваемых частот, а если поделить и затем поставить аналоговые множители на задержках - низкая стабильность :((( отправлено KKKKK 08 января 2004 г. 18:56

Вообще, как-то делал я такую штуку, была похожая проблема(реально осуществить полностью не довелось, начальство в другую сторону указало путь к светлому будущему).
На входе CLK ПЛИС ставил подстраиваемый (по SPI) генератор, выход которого подавал на ПЛИС.
В ПЛИС, кроме всего прочего, делил входную частоту настолько, насколько надо было. И был отдельный блок, который в случае необходимости подстраивал частоту перестраиваемого генератора с маленьким шагом.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru