Ответ: MAX+PLUS II не поддерживает VHDL-моделирование (если я правильно Вас понял) (0)


[an error occurred while processing this directive]
     Отправлено Victor 14 апреля 2000 г. 17:27:14
     В ответ на: Почему не компилируются комманды VHDL: "Wait for 100 ns", "2**I" в MAXPLUSII? отправлено Вася-чайник 14 апреля 2000 г. 14:51:05

Ж-)

Составить ответ ||| Конференция «Программируемые логические схемы и их применение»

Ответы


Отправка ответа

Имя:(обязательно)
E-Mail:

Тема:(обязательно)

Сообщение:(обязательно)

Ссылка на URL:
Имя ссылки:
URL изображения:


Перейти к списку ответов ||| Конференция «Программируемые логические схемы и их применение»