[an error occurred while processing this directive] [an error occurred while processing this directive]
Пардон, малёхо промахнулся.
(«Телесистемы»: Конференция «Цифровые сигнальные процессоры (DSP) и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено SNN 31 октября 2001 г. 19:29
В ответ на: Ready - > точно единица. А вот Holda нужно держать в 1? Т.е. пайка не моя забота, но вот за то что бы работало я первый :)). отправлено Изучающий 31 октября 2001 г. 15:05

Я имел в виду входной сигнал nHold ("a" добавил на автопилоте :) используемый как требование захвата шины другим устройством, nHolda - какраз выходной сигнал, который сообщает тому устройству, что шина освобождена и сигналы адреса, данных и контроля шины в Z состоянии. Все эти игры используются в системах с разделяемыми ресурсами, например, многопроцессорных.
В простейшем случае (как у меня) сигналы управления доступом к шине не используются и, следовательно, сигнал nHold надо подтянуть к +3,3v. Аналогично поступают и с Ready, если не используются аппаратные задержки при обращении к ВУ. Кроме того, в errata на этот процессор не совсем лестно отзывались о сигнале nNMI, и дабы не искушать судьбу - и его туда же. Если не подключен JTAG - то подтянуть EMU/nOFF.
Это я описывал включение DSP в нашем устройстве - 4 дополнительных резистора - все работает (хотя в след. версии добавим еще парочку R:)

Если эти проверки не дадут результата, я бы просто оборвал сигнал IOSTRB, как тогда?
А при обращении к внешней ПД что происходит? Если эффект остался - проблема в цепях шины управления. И т.д.

В любом случае, мне кажется, что неисправность аппаратная.
Кстати, а питание не глючит?

Успехов SNN

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru